Skip to content

Commit

Permalink
feat: top module changes
Browse files Browse the repository at this point in the history
  • Loading branch information
Elizabeth-0 committed Jan 12, 2025
1 parent f0c1da4 commit 695ff7d
Show file tree
Hide file tree
Showing 2 changed files with 0 additions and 15 deletions.
2 changes: 0 additions & 2 deletions src/tt_um_waves.v
Original file line number Diff line number Diff line change
@@ -1,6 +1,4 @@
module tt_um_waves (
input wire VPWR,
input wire VGND,
input wire [7:0] ui_in, // ui_in[0] for UART RX
output reg [7:0] uo_out, // Dedicated outputs: uo_out[2:0] = {WS, SD, SCK} for I2S
input wire [7:0] uio_in, // GPIO for encoder inputs
Expand Down
13 changes: 0 additions & 13 deletions test/tb.v
Original file line number Diff line number Diff line change
@@ -1,6 +1,3 @@
`default_nettype none
`timescale 1ns / 1ps

module tb ();

initial begin
Expand All @@ -19,18 +16,8 @@ module tb ();
wire [7:0] uio_out;
wire [7:0] uio_oe;

// Declare VPWR and VGND for gate-level testing
`ifdef GL_TEST
wire VPWR = 1'b1;
wire VGND = 1'b0;
`endif

// Replace tt_um_example with your module name:
tt_um_waves user_project (
`ifdef GL_TEST
.VPWR(VPWR),
.VGND(VGND),
`endif
.ui_in (ui_in),
.uo_out (uo_out),
.uio_in (uio_in),
Expand Down

0 comments on commit 695ff7d

Please sign in to comment.