diff --git a/fsmc2bram.xise b/fsmc2bram.xise
index 1f9540c..79d10da 100644
--- a/fsmc2bram.xise
+++ b/fsmc2bram.xise
@@ -19,10 +19,6 @@
-
-
-
-
@@ -42,6 +38,10 @@
+
+
+
+
diff --git a/rtl/ram_addr_test.vhd b/rtl/memtest_assist.vhd
similarity index 94%
rename from rtl/ram_addr_test.vhd
rename to rtl/memtest_assist.vhd
index 22ee421..e909952 100644
--- a/rtl/ram_addr_test.vhd
+++ b/rtl/memtest_assist.vhd
@@ -4,7 +4,7 @@ use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
-entity ram_addr_test is
+entity memtest_assist is
generic (
AW : positive
);
@@ -21,10 +21,10 @@ entity ram_addr_test is
BRAM_EN : out std_logic; -- memory enable
BRAM_WE : out std_logic_vector(0 downto 0) -- memory write enable
);
-end entity ram_addr_test;
+end entity memtest_assist;
-architecture rtl of ram_addr_test is
+architecture rtl of memtest_assist is
signal addr_cnt : std_logic_vector (AW-1 downto 0);
begin
BRAM_CLK <= clk_i;
diff --git a/rtl/root.vhd b/rtl/root.vhd
index 8f23b13..4f30a88 100644
--- a/rtl/root.vhd
+++ b/rtl/root.vhd
@@ -102,7 +102,7 @@ begin
);
- ram_addr_test : entity work.ram_addr_test
+ memtest_assist : entity work.memtest_assist
generic map (
AW => FSMC_A_USED
)